views:

335

answers:

1

I am trying to test a VHDL component, but can't seem to get this one inout port to give me any behaviour. I've tried setting the port to everything from '1' to '-', but it still comes up as 'U' in simulation. Any sugestions what might be wrong?

A: 

You need an explicit driver to 'Z'.

Peter Mortensen
i realise this is the case, but i dont know what it means in vhdl code
Tore
Can you show us a snippet of the code you have?
Marty