systemc

Serialization of objects: no thread state can be involved, right?

I am looking hard at the basic principles of storing the state of an executing program to disk, and bringing it back in again. In the current design that we have, each object (which is a C-level thingy with function pointer lists, kind of low-level home-made object-orientation -- and there are very good reasons for doing it this way) wi...

verilog or systemc for testbench

I am assigned with the task of verifying some verilog based RTL code. Now, coding the RTL testbench using verilog seems to be very difficult (for me). So I would like to try one of the following. - Try providing a PLI interface to the RTL and thereby invoke 'C functions for testing - Using system 'C for interfacing the 'C functions PS: ...

Compiling SystemC library in Mingw32

I have been trying to compile systemC library in Mingw32 and I am getting an error when I run the "configure" command which says that the architecture is not supported. Anyone out there solved this problem? ...

Installing systemc SCV library on x86-64 machine

Hallo All: I am not sure it it is the right place to ask this: When I tried to install the SCV library, I came accross with the following problem: "checking build system type... Invalid configuration x86_64-unknown-linux-gnu': machinex86_64-unknown' not recognized " I copied the 'config.guess' and 'config.sub' from /usr/share/libtool...

Does system C support tri-state logic?

Does System C support tri-state logic? That is, bits that can get 0, 1 or X, where X means "unknown"? If it does, does it also support vectors that can contain Xes, including logic and arithmetic operations? ...

Is it possible to use System C data types in C++ without the entire System C kernel?

System C provides arbitrary length integer types that can be manipulated either as numbers (i.e. with support for artihmetic) or as bit-vectors (i.e. with support for logic operations and working with sub-vectors). System C also provides support for all sorts of other things I don't want, such as clocks, flip flops and such, as well as ...

SystemC Seg Fault on sc_core::sc_in<bool>::read()

Hello, I am having a repeating seg fault while using SystemC. During initialization I set a value to 0. During operation of a testbench I am setting this value to 1 in a module (proc). This is a sc_signal variable that is attached to a port of another module imem. The input port is of type sc_in. In theory, this assignment should c...