hardware

SystemC Seg Fault on sc_core::sc_in<bool>::read()

Hello, I am having a repeating seg fault while using SystemC. During initialization I set a value to 0. During operation of a testbench I am setting this value to 1 in a module (proc). This is a sc_signal variable that is attached to a port of another module imem. The input port is of type sc_in. In theory, this assignment should c...