warnings

How do you avoid security message in IE7 when using HTTPS?

In IE7 my web site always causes the browser to prompt a "Security Information" dialogue box: This page contains both secure and non-secure items. Do you want to display the non-secure items? How can I avoid getting this message when traveling between non-secure and secure pages (HTTP to HTTPS)? ...

workflow warnings

I'm trying to clean up the warnings being generated by some existing code. I'm stuck on these 3... I really have no experience with workflows, so I have no idea what it's complaining about... any ideas? warning WF1820: Activity 'StartWorkflowActivity' validation warning: Validation failed for parameter 'workflowName'. All parameters fo...

Warning on Include: Can't Find File In Correct Path?

Warning: include(/2008/2009/assets/inc/base/error.inc.php) [function.include]: failed to open stream: No such file or directory in C:\Program Files\Apache Group\Apache2\htdocs\2008\2009\assets\inc\base\header.inc.php on line 82 I am receiving the above error when including a file on a WAMP setup. Is it possible that Windows is interpre...

SunStudio C++ compiler pragma to disable warnings?

The STLport bundled with the SunStudio11 generates alot of warnings. I beleive most compilers have a way to disable warnings from certain source files, like this: Sun C #pragma error_messages off #include <header.h> // ... #pragma error_messages on gcc #pragma warning(push, 0) #include <header.h> // ... #pragma warning(p...

Any way to disable `tempnam' is dangerous, better use `mkstemp' gcc warning?

I'm using tempnam() only to get the directory name, so this security warning does not apply to my case. How can I disable it? I couldn't find any switches to do it. ...

Can I change the warning settings for Web Sites in visual studio?

I can turn on warnings as errors and exclude certain warnings for all the projects in my solution except for a website (not web application). Is there anyway to turn on 'warnings as errors' as errors for websites and is there anyway to turn off certain errors for the whole website or sub folders? Update I'm using ASP.Net with C# ...

gcc equivalent of VC++ warning C4018: signed/unsigned mismatch

Is there a gcc equivalent of VC++ warning C4018: signed/unsigned mismatch? We have an automated build on windows which runs with /WX and I'd like to be able to catch those warnings when building on Linux as well. ...

Fixing warnings from Hibernate

I am currently working on a Hibernate project. My current development setup has a rather long debug printout on startup, from alot of hibernate warnings. I would like to clean this up. 2009-02-18 09:14:19 org.hibernate.util.JDBCExceptionReporter logWarnings WARNING: [Microsoft][SQLServer 2000 Driver for JDBC][SQLServer]Change...

Link libraries with dependencies in Visual C++ without getting LNK4006

I have a set of statically-compiled libraries, with fairly deep-running dependencies between the libraries. For example, the executable X uses libraries A and B, A uses library C, and B uses libraries C and D: X -> A A -> C X -> B B -> C B -> D When I link X with A and B, I don't want to get errors if C and D were not a...

before Warning login

i need to alert or warn the user.. while user login into particular account 'your account has been expired next week' like that.. my user table having validfrom and validto date. Before 1 week of validto date.. i need to warn users at every time login. my application using strus2.. for above business logic i need any schedular.. otherw...

Can Perl's Template Toolkit warn on undefined values?

Is there a way to make Perl's Template display warnings for all undefined values that I attempt to use the GET directive on (via [% %]) during Template::process? The default behavior is to ignore and move on. I'd like to warn only in the case of undefined values, if possible, and to log messages to STDERR. ...

Is there a pattern for propagating details of both errors and warnings?

Is there a common pattern for propagating details of both errors and warnings? By errors I mean serious problems that should cause the flow of code to stop. By warnings I mean issues that merit informing the user of a problem, but are too trivial to stop program flow. I currently use exceptions to deal with hard errors, and the Python l...

How to get users to pay attention to problems?

We occasionally need to notify users about warnings or problems. But often times, especially if it's a common problem, users will just dismiss the warning and continue. Often times users won't even remember seeing the warning, but we check their logs and see that several were displayed. So, how do you get users to pay attention when you'...

How can I mark generated methods obsolete?

I'm using a strongly typed DataSet for which manually adding rows will be error prone. I'm providing factory methods to create rows properly. I'd like to guide consumers of my class away from the generated Add*Row methods on the *Table classes. Adding Obsolete attributes to the generated methods would do the trick. Sadly, they would be...

How to suppress Java compiler warnings for specific functions

We are always taught to make sure we use a break in switch statements to avoid fall-through. The Java compiler warns about these situations to help us not make trivial (but drastic) errors. I have, however, used case fall-through as a feature (we don't have to get into it here, but it provides a very elegant solution). However the co...

Why does Perl complain about barewords in my Win32::OLE script?

#___ FIND LAST ROW/COLUMN WITH DATA my $row = $Sheet1 -> UsedRange -> Find( { What => "*", SearchDirection => xlPrevious, SearchOrder => xlByRows })-> {Row}; Error: Bareword "xlByRows" not allowed while "strict subs" in use. ...

warning LNK4099: PDB 'vc80.pdb' was not found after switching to vista

I'm getting several of the following warnings in VS2005 on an old project after moving from my old XP to a new vista PC: UnitTest++.vsnet2005.lib(TestRunner.obj) : warning LNK4099: PDB 'vc80.pdb' was not found with 'c:\projects\blah.lib' or at 'c:\projects\blah\debug\vc80.pdb'; linking object as if no debug info I know that this wa...

Zend Studio reports warning: Assignment in condition. Is this so bad?

I have recently started using Zend Studio which has reported as warning the following type of code: $q = query("select * from some_table where some_condition"); while ($f = fetch($q)) { // some inner workings } To stop the warning the code needs to be written like this: $q = query("select * from some_table where some_condition"); $...

How do I turn specific Delphi warnings and hints off?

In CodeGear Delphi 2007, how can I turn specific warnings and hints off? I am attempting to turn off H2077 - Value assigned to 'varname' never used. ...

Visual Studio warning level meanings?

On the build tab in a Web Application project I have a setting called "Warning Level". I can set a value from 0 to 4. What do these values mean? Will a value of 0 be more strict and generate more warnings, or vice versa? I haven't been able to find any documentation on it yet, but perhaps I'm looking in the wrong place. ...