tags:

views:

534

answers:

9

Hello,

Is there a good IDE to work with VHDL projects ? Or are most of the professionals working with emacs/vim/notepad++ ?

+2  A: 

Maybe Xilinx ISE or Altera Quartus.

I once tried - at least I wanted to try - Altera Quartus, but I soon switched to a normal editor and took GHDL for simulation.

But I am no professional, so I suppose they are using some of the former two products.

phimuemue
I have used Xilinx as part of a student project. It allows for various simulations of your modules as well as loading them to FPGA. If I remember correctly there might be a free version out you can check out..
filip-fku
I use ISE and modelsim daily (fpga Ph.D), but I do not consider them as complete IDEs. The can compile/simulate (and synthesize for ise) but there is no real project management, source refactoring, instant error reporting, etc. Sigasi-HDL, introduced in the other answer, is more what I was looking for. Actually, I wanted to know if it would be useful for the community to start an Eclipse based VHDL IDE, but it seems that's not really the case :)
Aurélien Ribon
You mean you DONT like hand managing dozens of text files by hand? That's part of the fun of ISE :-P
samoz
+5  A: 

I use Emacs+VHDL-mode which is great if you don't mind (or have already climbed) the learning curve of Emacs.

Alternatively, you could try Sigasi-HDT which is Eclipse-based and has more GUI. And some more powerful refactoring tools by the look of it.

Martin Thompson
+2  A: 

Engineers in large semiconductor companies are using emacs/vim for code editing. Integrated Development Environment doesn't make much sense there, since a project is a few thousand HDL files taken from different places on a *NIX network, setup scripts for a dozen different tools, and cron jobs to do frequent builds.

For simpler FPGA projects developed on Windows I've seen people using Borland CodeWright, UltraEdit, and Crimson Editor.

OutputLogic
But code editing can be MUCH MORE improved for VHDL than what emacs-vhdl or vim can do at this time. Is there anybody left who writes Java or C# code in a notepad "environement" ? No, code completion and instant-refactoring, as well as dozens of other possibilities greatly speed up their job ! Their is no such thing for VHDL, and I think hardware development is loads of times less easy than software development. High-Level-Synthesis (Matlab/C++/SystemC to VHDL/Verilog) is used in less than 0.001% of every hardware developing companies...
Aurélien Ribon
A: 

It depends on the kind of project you are developing. Modelsim provides a good IDE but the code editor lacks the advanced features we are accustomed to use. I use VIM to write the code, and Modelsim to simulate, debug etc...

mp
+1  A: 

My best bet is to use VIM to do whatever i need to for VHDL formatting. VIM has an extremely powerful facility to develop custom plugins, and no amount of IDE hopping will give you all the customization you would ever need.

Things like signal names < 20 characters, procedure lengths < 100 lines, no use of linkage ports -- all of these can be coded in VIM with minimal effort. You could actually run vcom or ncvhdl from within VIM and then see where the errors are.

Having said all this, you might want to take a look at what Sigasi has to offer. If you need more help on this, let me know -- it'd be fun coding a VIM plugin.

Fanatic23
A: 

I have been using Sigasi HDT since it was released in january (I think) and am very pleased with it so far. Previously I used Vim for all my editing (C or VHDL), but since I switched to Sigasi I have not looked back. The Eclipse based plugin is much more than just syntax highlighting. The auto-completion of components and entities is a real time-saver and you avoid alot of errors. You can download a free trial from their website (http://www.sigasi.com) and I encourage you to give it a try with grlib (http://www.gaisler.com) to see the real power of the plugin.

trondd
A: 

I'm just using Scite, like for most other coding.

Some things in Scite make my VHDL coding pleasant:

  1. Coloring is easy to set up. Either download a ready .properties file or modify the Ada mode.
  2. Scite has a snippets plugin that's very useful for VHDL. All those boring process and architecture definitions are generated automatically for me with a couple of key-presses.
  3. Scite is easy to customize with external scripts: I have scripts for compiling the current file, for fixing indentation, for listing all processes and so on, the possibilities are limitless.
Eli Bendersky
A: 

Emacs + VHDL mode + a compiler / simulator + source control.

The VHDL mode is configured to use the compiler to compile the design and optionally run the simulation.

Emacs can link with the source control to correctly check in, diff and tag.

I personally like this set up because the editor is open source and I can use it anywhere (Mac, Linux, Windows). The compiler / simulator can be anything from a free download (FPGA vendor sponsored Modelsim or GHDL) to a grid engine. Once set up, it pretty much becomes invisible.

George
+1  A: 

Simplifide also has an eclipse plugin which supports VHDL, Verilog and SystemVerilog which contains all of the standard IDE features and more.

It can be downloaded at http://simplifide.com

Andy Wagner
Nice find ! Thank you !
Aurélien Ribon