views:

439

answers:

3

I want a VHDL source codes website that provide a ready to use component source code.

for example: full adder vhdl source code.

+3  A: 

Check out opencores.org.

David Schmitt
i want sample component as full adder.is this site provide that. thank you.
alsadk
Does any one know if code from opencores.org licence requires your final vhdl project to be published as open sources as well?
JeffV
http://www.opencores.org/?do=faqgo to Licensing.
alsadk
+2  A: 

Also, you can check out http://www.freemodelfoundry.com/ for VHDL and Verilog modules.

Just for the full adder I can write it right here ;)

entity full_adder is
    port(
        a, b, cin: in BIT;
        sum, cout: out BIT);
end full_adder;

architecture gate_level of full_adder is
begin
    sum <= (a xor b) xor cin;
    cout <= ((a and b) or (a and cin)) or (b and cin);
end gate_level;

UPDATE: such tool as Aldec Active-HDL has IP-Core generator. You can select different cores, input parameters and get ready-to-use VHDL or Verilog component. Aldec provides student licenses for free. Check out http://www.aldec.com/Company/University.aspx

Vova
Thank i want ready to use components like full_adder .. etc.Thank you for full_adder code.
alsadk
A: 

As David says, opencores.org is a good starting point. Another interesting project is the LEON3 processor and its related Gaisler library, available as open-source project from http://www.gaisler.com

Philippe

Philippe Faes