tags:

views:

269

answers:

3

How to generate pseudo random number in FPGA?

+3  A: 

This has been covered (I'd go for an LFSR): http://stackoverflow.com/questions/757151/random-number-generation-on-spartan-3e

Marty
A: 

If it's not for cryptography or other applications with an intelligent adversary (e.g. gambling) I'd use a linear feedback shift register approach.

It only uses exclusive or and shift, so it is very simple to implement in hardware.

starblue
+2  A: 

There's an excellent Xilinx application note on generating pseudo-random number sequences efficiently in an FPGA. It's XAPP052.

geschema