fpga

Best way to learn how to use FPGAs

In next weeks probably I will have some little FPGA to play with. I have a programmer background (C, C++, Java mostly) and some (very) limited experience in electronics. What are the best tools to know if you want to develop on FPGAs? What are the best languages to study? (what HW description languages?) Have you some examples of lit...

Configurable processor implemented on FPGA board

For a university mid-term project I have to design a configurable processor, to write the code in VHDL and then synthesize it on a Spartan 3E FPGA board from Digilent. I'm a beginner so could you point me to some information about configurable processors, to some ideas related to the concept? ...

CUDA vs FPGA?

I am developing a product with heavy 3D graphics computations, to a large extent closest point and range searches. Some hardware optimization would be useful. While I know little about this, my boss (who has no software experience) advocates FPGA (because it can be tailored), while our junior developer advocates GPGPU with CUDA, because ...

Best way to approach FPGA Device Requirements

When designing FPGA systems how can I estimate roughly the number of logic blocks a given task would require? Anyone have a rough order of magnitude on what I should expect for these comon devices?: UART packet deframer with CRC32 8 micro core I've seen www.opencores.org, however, they are not giving a number of gates magnitude for ...

Can dynamically pluggable modules be done in VHDL?

In c (embedded) a plugin can be implemented by defining a function pointer table and an address range that the module can be loaded into. This requires linker directive to allocate the space and define the location of the function table. Is there a similar mechanism in HDL / VHDL or Verilog. I guess what I am thinking is to define a b...

Good sites/blogs for FPGA development projects

I'm looking for interesting online resources on FPGA development - sites, blogs, that sort of thing. What I'm after is examples of fun (and hopefully not too expensive) projects that one can try out and learn from. ...

VGA standard for Graphics Controller

I'm attempting to create a generic graphics controller for VGA monitors with an Altera FPGA via a VGA connector, but I cannot find any good online resources explaining the standard specification which monitors use. I've found all the pin descriptions and some resources which describe how to create a specific graphics controller, such as ...

Designing system architecture for real time acquisition and 'control'

Brief description of requirements (Lots of good answers here, thanks to all, I'll update if I ever get this flying). A detector runs along a track, measuring several different physical parameters in real-time (determinist), as a function of curvilinear distance. The user can click on a button to 'mark' waypoints during this process, th...

Fault (radiation) tolerant soft core?

Hi everybody, I've a question... is there a certification or something that decides if a soft core is fault tolerant or not? and another question...I've seen that LEON3-FT is radiation tolerant only implementd on RTAX Actel FPGA. Is it right? Excuse me but I'm confusing about it becuase somebody speaks about LEON3-FT (fault tolerant) ...

How to use an OLED display for an Avnet Virtex4?

I have an Avnet ADS-XLX-V4FX-EVL12-G (Virtex4 Evaluation Board) with OLED display. I used Xilinx EDK 10.1 with Xilinx Platform Studio 10.1 and succeded to upload some basic app to the board (serial communication). Now I would like to use the OLED display mounted on the board but I have no ideea how to begin. I found the uCLinux distro f...

FPGA based RTL evaluation

Currently I am testing some RTL, I am using ncverilog, and it is very ... very slow. I have heard that, if we use some kind of FPGA boards, then things will be faster. Is it for real? ...

Obsolete Xilinx Chip

My company is trying to build a pcb with an obsolete xilinx fpga (XC3042A) which is part of the XC3000 series chips. Does anyone have any experience programming the data to the chip? I'm looking for what software, hardware, etc. people have used. ...

Random number generation on Spartan-3E

I need to generate pseudo-random numbers for my genetic algorithm on a Spartan-3E FPGA and i want to implement it in verilog: could you give me any pointers on this? ...

Why is XST optimizing away my registers and how do I stop it?

I have a simple verilog program that increments a 32 bit counter, converts the number to an ASCII string using $sformat and then pushes the string to the host machine 1 byte at a time using an FTDI FT245RL. Unfortunately Xilinx XST keeps optimizing away the string register vector. I've tried mucking around with various initialization...

Direct control of ATA commands

I am working on hard drive analysis, and wanted to know if there is a way to directly control an ATA hard drive under windows. In short I want to do something like a packet sniffer, but for the ATA commands sent to/from the hard drive. After that, I'd like to be able to write ATA commands directly the drive. If this is not possible unde...

Are there any vendors providing MSIL / CLR on hardware?

I have a set of MSIL / CLR applications (written in C#). I am looking for a way to burn them onto a pure hardware platform. I've seen that Altera has an embedded processor called NIOS II that appears to support eCLR (embedded common language runtime), but it's just a processor and not something I would consider end market product. Can...

How to generate pseudo random number in FPGA?

How to generate pseudo random number in FPGA? ...

Circuit that counts the number of set bits in 15-bit input

How to build an area-efficient circuit that counts the number of set bits in 15-bit input using 4-input LUTs (look-up tables). The output is obviously 4-bit (counts 0-15). Some claim that it's possible to do using 9 LUTs. ...

Should FPGA design be integrated into a Computer Science curriculum?

If computer science is about algorithm development and therefore not limited to the imaginations of Processor vendors, but to the realm of all that is practically computable. Then shouldn't a FPGA, which is almost ideally suited for studying cellular automata, be considered a valid platform upon which to study computer science. One part...

SDR kit with 2.4GHz RF frontend?

Do you know a SDR (Software Defined Radio) kit with a 2.4GHz ISM band (2400MHz - 2483.5MHz) transceiver? I need to perform some software defined radio including customised modulation. Also the price for one kit should be at maximum $1000. I know there are some extremely expensive solutions out there, but that is unfortunately not an opt...