synthesis

Efficient synthesis of a 4-to-1 function in Verilog

I need to implement a 4-to-1 function in Veriog. The input is 4 bits, a number from 0-15. The output is a single bit, 0 or 1. Each input gives a different output and the mapping from inputs to outputs is known, but the inputs and outputs themselves are not. I want vcs to successfully optimizing the code and also have it be as short/n...

"Dead code" in Xilinx

I have some VHDL code I'm writing for a class. However, the synthesis tool identifies cell3, cell2, and cell1 as "dead" code and it won't synthesize it. I really have no idea what's going on to cause cell 3,2,1 to be removed in synthesis; I've reviewed it some 5+ times and asked several different people and I can't find the "why". Not...

Silverlight and audio synthesis

Hi, is it at all possible to generate sound on-the-fly in a Silverlight app and play it? I.e. if I want to play a sine-wave, can I generate a buffer and feed it to some media API? Cheers Nik ...

How to reproduce C64-like sounds?

I did some of my own research and found out that SID-chips had only few hardware supported synthesizing features. Including three audio oscillators with four possible waveforms (sawtooth, triangle, pulse, noise), with ADSR audio controls and ring modulators. Accompanied with oscillator sync and ring modulators. Also read there was a way ...

Algorithm for "filling in" texture in a 2D image

I recall seeing a paper a while back for an algorithm that could automatically and seamlessly "graft" texture from parts of an image onto another part of an image. The approach was something along the lines of the following: You'd build up a databases of small squares of pixels (perhaps 8X8) from the parts of the picture that are prese...

Is it possible to play synthesized sound in the browser using JavaScript?

I just came across a Nintendo emulator written entirely in JavaScript on the interwebs, but it doesn't have sound. It got me thinking: Is there any way to synthesize sound in the browser using JavaScript and then play it? If it's not possible in general, then are there any Safari/Opera/FireFox/IE/Etc. extensions that would make it possib...

How to implement a band-pass filter in c# / Silverlight

How would I go about implementing a band-pass filter in c#? I'm using a custom MediaStreamSource in Silverlight and am using additive synthesis to produce sound. My audio stream is a continuous stream of int16 shorts: //over-simplified example: short sample = oscillator.GetNextSample(); memoryStream.WriteByte((byte)(sample & 0xFF)); m...

Extending Karplus-Strong with low pass filter

I have implemented a basic Karplus-Strong algorithm. Ringbuffer, filling with white noise, output a sample from the front and append the average of first two elements to the end and delete the first element. Repeat last to steps. For better results and control over them I tried to implement a extended version of the algorithm. Therefor...

How do I generate a fixed-waveform table in C?

What is the most efficient way to generate a signed float array of arbitrary length containing the amplitude (represented from 1 to -1) of a sine wave in C? ...

How to NOT use while() loops in verilog (for synthesis)?

I've gotten in the habit of developing a lot testbenches and use for() and while() loops for testing purpose. Thats fine. The problem is that I've taken this habit over to coding for circuits which should be synthesizable. XST and others refuse to synthesize code (without additional modification to synthesis parameters) such as: while (...

How to synthesis verilog cores made in xilinx core generator?

I used coregen to develop a divider core. Here are the steps I tried to use that divider in my design (not sure if its quite correct): 1) copied wrapper (core_name.v), .ngc file, and .veo file into main design folder 2) instantiate the core in my main verilog module using the veo template: core_name u1(.a(a_p), .b(b_p), .c(c_p), .d(d_p);...

Audio playback, creating nested loop for fade in/out.

Hi Folks, First time poster here. A quick question about setting up a loop here. I want to set up a for loop for the first 1/3 of the main loop that will increase a value from .00001 or similar to 1. So I can use it to multiply a sample variable so as to create a fade-in in this simple audio file playback routine. So far it's turning...

Verilog code simulates but does not run as predicted on FPGA

I did a behavioral simulation of my code, and it works perfectly. The results are as predicted. When I synthesize my code and upload it to a spartan 3e FPGA and try to analyze using chipscope, the results are not even close to what I would have expected. What have I done incorrectly? http://pastebin.com/XWMekL7r ...

Video Synthesis - Making waves, patterns, gradients...

I'm writing a program to generate some wild visuals. So far I can paint each pixel with a random blue value: for (y = 0; y < YMAX; y++) { for (x = 0; x < XMAX; x++) { b = rand() % 255; setPixelColor(x,y,r,g,b); } } I'd like to do more than just make blue noise, but I'm not sure where to start (Google isn't help...

How do I get rid of sensitivity list warning when synthesizing Verilog code?

I am getting the warning that: One or more signals are missing in the sensitivity list of always block. always@(Address)begin ReadData = instructMem[Address]; end How do I get rid of this warning? ...

Synthesizing Singing

So this is from the late 90s ... http://www.cs.princeton.edu/~prc/SingingSynth.html Why hasn't this taken off? (We can synthesize photorealistic like images, but the synthesis of singing ... still seems to be in very primitive stages). What exactly is it that makes the synthesis of singing difficult? http://www.interspeech2007.org/Tec...

AS3 additive tone synthesis. playing multiple generated sounds

Hello Everybody! Inspired by Andre michelle, I`m building a tone matrix in AS3. I managed to create the matrix and generate the different sounds. They don´t sound that good, but I´m getting there One big problem I have is when more than one dot is set to play, it sounds just horrible. I googled a lot and found the additive synthesis met...

Recommended introductory books on sound synthesis

What would be the recommended book to get an introduction to the field of sound synthesis from a programmer's point of view? I'm not looking into doing anything advanced anytime soon, so I'll boil it down to two points that I'd like to satisfy: Understanding what I am doing when I feed a bunch of bytes into some sound API capable of ou...