tags:

views:

903

answers:

2

I have a "watcher" module that is currently using global hierarchies inside it. I need to instantiate a second instance of this with a second global hierarchy.

Currently:

module watcher;
wire sig = `HIER.sig;
wire bar = `HIER.foo.bar;
...
endmodule

watcher w; // instantiation

Desired:

module watcher(input base_hier);
wire sig = base_hier.sig;
wire bar = base_hier.foo.bar;
...
endmodule

watcher w1(`HIER1); // instantiation
watcher w2(`HIER2); // second instantiation, except with a different hierarchy

My best idea is to use vpp (the Verilog preprocessor) to brute-force generate two virtually-identical modules (one with each hierarchy), but is there a more elegant way?

+5  A: 

My preference is to have a single module (or a small number of modules) in your testbench that contains all your probes but no other functionality. All other modules in your testbench that require probes then connect to that "probe module". Use SystemVerilog interfaces in preference to raw wires if that's an option for you. This circumvents your problem since no watcher will require global hierarchies and your testbench on the whole will be considerably easier to maintain. See the Law of Demeter.

Alternatively... (but this puts hierarchy in your instantiations...)

module watcher(sig, bar);
  input sig;
  input bar;
...
endmodule

watcher w1(`HIER1.sig, `HIER1.foo.bar); // instantiation
watcher w2(`HIER2.sig, `HIER2.foo.bar); // second instantiation, except with a different hierarchy

Subsequently you can also:

`define WATCHER_INST(NAME, HIER) watcher NAME(HIER.sig, HIER.foo.sig)

`WATCHER_INST(w1, `HIER1);
`WATCHER_INST(w2, `HIER2);
DMC
Thanks for the response, and I certainly agree with most of your points in general.Unfortunately in this case the module has ~100 probe wires internally, so converting them to explicit inputs, while clearer, is quite messy.
pdq
Hi pdq. I guess that's why I mentioned the SV interfaces. At least you can encapsulate them in to several logical groups, and access the wires from inside your module using the dot notation.
DMC
+1  A: 

Can you use the SystemVerilog "bind" keyword to bind the module into every hierarchy that requires it? (This requires that you use SystemVerilog, and have a license for a simulator.)

Using bind is like instantiating a module in the normal way, except that you provide a path to hierarchy into which the module is "remotely" instantiated:

bind top.my.hier my_module instance_name(.*); bind top.my_other.hier my_module instance_name(.*);

Even better: assume that each hierarchy that you are binding into is a separate instance of the same module. Then:

bind remote_module my_module instance_name(.*);

This binds your module into EVERY INSTANCE of the target, no matter where it is in the design. This is very powerful if your module is a verification checker.

d3jones